| Centre
Paris-Saclay
| | | | | | | webmail : intra-extra| Accès VPN| Accès IST | English
Univ. Paris-Saclay
Lensless imaging for EUV actinic pattern inspection
Sara FERDNANDEZ
Laboratory for Micro- and Nanotechnology Paul Scherrer Institute, Switzerland
Vendredi 12/10/2018, 11:00-12:00
LIDYL Bât.522, Grande salle 137-138, CEA-Saclay

The semiconductor manufacturing industry has experienced continued growth by doubling in the number of components per integrated circuit every two years, following Moore’s Law [1]. The introduction of Extreme Ultraviolet (EUV) lithography at λ = 13.5 nm is a necessary step to continue with this trend [2]. However, at this short wavelength, one has to operate in reflective mode with 6 degrees of illumination angle, which implies numerous changes in the current lithography infrastructure, including the production of defect-free masks and metrology tools allowing defect inspection for current and future lithography nodes. The standard approach to address this problem involves expensive and complex EUV optics. At the XIL-II beamline (SLS, Switzerland), we are developing an actinic pattern inspection platform based on coherent diffraction imaging (CDI) [3]. Due to the absence of optical elements in the experimental setup, our tool – called RESCAN (reflective-mode EUV mask scanning lensless imaging microscope) – offers unique insights into EUV metrology: both amplitude and phase of the lithography masks can be imaged [4], and inspection can be performed through-pellicle, which is a requirement for any mask inspection tool that needs to be integrated in the lithography process [5]. Furthermore, the method can be applied to investigate the imaging properties of different absorber materials that are currently under consideration as alternative routes to mitigate the known mask 3D effects [6].

In this talk, I will describe RESCAN and its working principle, and I will illustrate its capabilities through recent examples. I will show how programmed defects down to 35 nm on mask can be detected using die-to-die and die-to-database approaches, and how this can be done on different absorber materials. These studies will bring me to discuss about the sensitivity and resolution limits of CDI as a potential technique in EUV mask technology.

References

1. T. Ito and S. Okazaki, "Pushing the limits of lithography," Nature 406, 1027–1031 (2000).

2. C. Wagner and N. Harned, "EUV lithography: Lithography gets extreme," Nat. Photonics 4, 24–26 (2010).

3. J. Miao, T. Ishikawa, I. K. Robinson, and M. M. Murnane, "Beyond crystallography: Diffractive imaging using coherent x-ray light sources," Science 348(6234), 530–535 (2015).

4. I. Mochi, P. Helfenstein, R. Rajeev, S. Fernandez, D. Kazazis, S. Yoshitake, and Y. Ekinci, "Actinic inspection of EUV reticles with arbitrary pattern design," in (International Society for Optics and Photonics, 2017), 10450, p. 1045007.

5. I. Mochi, R. Rajeev, P. Helfenstein, S. Fernandez, D. Kazazis, and Y. Ekinci, "Through-pellicle inspection of EUV masks," in Extreme Ultraviolet (EUV) Lithography IX (International Society for Optics and Photonics, 2018), 10583, p. 105831I.

6. S. Fernandez, D. Kazazis, R. Rajeev, I. Mochi, P. Helfenstein, S. Yoshitake, and Y. Ekinci, "A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks," in Extreme Ultraviolet (EUV) Lithography IX (International Society for Optics and Photonics, 2018), 10583, p. 105831H.

Contact : clebe

 

Retour en haut